Blog/Portal for Smart FACTORY | CITY | XR | METAVERSE | AI (AI) | DIGITIZATION | SOLAR | Industry Influencer (II)

Industry Hub & Blog for B2B Industry - Mechanical Engineering - Logistics/Intralogistics - Photovoltaics (PV/Solar)
For Smart FACTORY | CITY | XR | METAVERSE | AI (AI) | DIGITIZATION | SOLAR | Industry Influencer (II) | Startups | Support/Advice

Business Innovator - Xpert.Digital - Konrad Wolfenstein
More about this here

Chips 90% cheaper from the USA? The startup Substrate is challenging the giants ASML (Netherlands) and TSMC (Taiwan).

Xpert pre-release


Konrad Wolfenstein - Brand Ambassador - Industry InfluencerOnline Contact (Konrad Wolfenstein)

Language selection 📢

Published on: November 3, 2025 / Updated on: November 3, 2025 – Author: Konrad Wolfenstein

Chips 90% cheaper from the USA? The startup Substrate is challenging the giants ASML (Netherlands) and TSMC (Taiwan).

Chips 90% cheaper from the USA? The startup Substrate is challenging giants ASML (Netherlands) and TSMC (Taiwan) – Image: Xpert.Digital

Startup Substrate aims to revolutionize the chip world with new X-ray lithography – attacking ASML and TSMC: Can Substrate transform the semiconductor industry?

X-ray lithography 2.0: From laboratory to mass production – Is X-ray lithography realistic again?

In the world of high technology, where progress is measured in nanometers, the semiconductor industry resembles a fortress with almost insurmountable walls. At the top of this global order stand two undisputed giants: the Dutch monopolist ASML, the sole supplier of the exorbitantly expensive EUV lithography machines for state-of-the-art chip manufacturing, and the Taiwanese foundry behemoth TSMC, which dominates the global contract manufacturing market. This highly concentrated ecosystem, built on decades of research and hundreds of billions in investment, has thus far seemed untouchable.

But now, a San Francisco startup called Substrate is making waves that could shake the foundations of this industry. Backed by over $100 million from prominent investors like Peter Thiel and the CIA's venture capital arm, In-Q-Tel, Substrate is poised to rewrite the rules of the game. Their promise: a revived and reimagined X-ray lithography technology that is not only more powerful than ASML's established EUV systems, but could also dramatically reduce the cost per chip wafer by 90 percent.

This announcement is far more than just a technological innovation; it is a geopolitical and economic declaration of war. It strikes at the heart of America's pursuit of technological sovereignty, challenges the business model of the world's most expensive machines, and promises to shatter the crushing barriers to entry in chip manufacturing. But the path from a promising laboratory demonstration to reliable mass production is paved with the debris of failed revolutions. The technical hurdles are monumental, industry skepticism is deep, and the history of X-ray lithography itself is one of historic failures. The crucial question, therefore, is: Are we witnessing the beginning of a genuine disruption that will redraw the global chip landscape, or merely a highly funded déjà vu of a technological dream shattering against the harsh realities of physics and economics?

Suitable for:

  • Europe's secret superpower ASML in the chip war: How a single company holds the future of EU chip AI in its handsEurope's secret superpower ASML in the chip war: How a single company holds the future of EU chip AI in its hands

Global power shift expected through innovative X-ray lithography

Chip and semiconductor manufacturing technology, one of the most important industrial developments of the 21st century, is currently experiencing a remarkable turning point. A San Francisco-based startup called Substrate is generating considerable attention in the global microchip industry with the announcement of a novel X-ray lithography technology. Backed by prominent investors such as Peter Thiel, the company has raised over one hundred million dollars and claims to have developed an alternative to the extremely expensive lithography systems of the Dutch monopolist ASML and the manufacturing capabilities of the Taiwanese giant TSMC. The potential impact of this development on the entire semiconductor value chain, the geopolitical power structures, and the economic balances within this industry are fundamental and warrant detailed economic analysis.

The economics of semiconductor lithography: When monopolies meet challenges

The history of industrial innovations repeatedly shows that technological disruption rarely comes from the center of established power structures, but is initiated by outsiders.

The current semiconductor industry is experiencing an exceptional level of concentration. ASML, based in the Netherlands, virtually controls the entire market for state-of-the-art lithography systems, holding a market share of 90 to 100 percent in extreme ultraviolet lithography. These machines, which cost between 200 and 400 million dollars per unit, are essential for manufacturing advanced semiconductors below seven nanometers. ASML's gross margin consistently exceeds 50 percent, an indicator of the immense pricing power of a de facto monopolist. In 2024, the company generated revenue of 28.3 billion euros with a net profit of 7.6 billion euros. Revenue growth of approximately 15 percent is projected for 2025, with a gross margin around 52 percent.

The development of this EUV technology was a marathon spanning more than three decades and cost over ten billion dollars in total. ASML was only able to manage this gigantic undertaking through strategic partnerships with Intel, Samsung, and TSMC, which together invested 1.4 billion euros in the company in 2012, thus participating in the so-called Musketeer Project. The first commercial EUV system was delivered in 2010, but the technology did not reach mass production readiness until 2019. This delayed market launch of almost twenty years compared to the original plans illustrates the immense technical hurdles.

In parallel, TSMC of Taiwan dominates the global foundry market with a staggering market share of over 70 percent in the second quarter of 2025. The company generated revenue of $30.24 billion in the third quarter of 2025 and plans capital expenditures of between $38 and $42 billion for 2025. A state-of-the-art, next-generation TSMC fab costs between $15 and $20 billion. These figures illustrate the enormous barriers to entry in this industry.

X-ray lithography, which now presents substrates as an alternative, is by no means a new invention. This technology was already being researched in the 1970s, and during the 1980s and 1990s, IBM, Motorola, and other American corporations invested heavily in its development. However, the technical challenges proved too great. The fundamental problems included the need for extremely stable masks made of expensive materials such as gold, the difficulty of producing consistent X-ray sources, and the complexity of secondary electron scattering, which limited the resolution. Economic factors also played a role: the industry could not agree on common standards, and funding from various companies failed due to diverging business interests.

Technological disruption: Revolution or repetition of historical failures?

Substrate claims to have solved these historical problems. The company uses a custom-designed particle accelerator that accelerates electrons to near the speed of light. These electrons pass through a series of magnets that cause them to oscillate, generating intense X-rays with wavelengths below four nanometers. This wavelength is significantly shorter than the 13.5 nanometers of ASML's EUV technology, theoretically enabling higher resolution. Substrate has presented laboratory results showing structures with a critical dimension of twelve nanometers and a tip-to-tip distance of thirteen nanometers, comparable to the capabilities of modern EUV systems for two-nanometer process technologies.

Substrate's key economic claim is that the cost per wafer could fall from approximately one hundred thousand dollars currently to around ten thousand dollars by the end of the decade. This ninety percent cost reduction would fundamentally change the economics of semiconductor manufacturing. The company argues that by avoiding the complex multi-patterning process often required in EUV, the number of production steps can be drastically reduced.

However, the industry's skepticism is justified and based on sobering technical and economic realities. Demonstrating structures in the laboratory is a completely different undertaking than mass production with consistent yields. TSMC achieves yields of around seventy percent with four-nanometer processes, while Samsung struggles with yields of only thirty-five percent using similar technologies. These figures illustrate how critical process stability and defect minimization are. Even the smallest deviations at the atomic level can lead to failures.

A particularly critical problem in modern lithography is stochastic effects, i.e., random variations in the exposure process. In EUV lithography, these effects can already account for more than half of the total error budget and are estimated to cost the industry over ten billion dollars in lost revenue annually by 2030. These problems result from the fundamental physics of small structures, where the number of photons, the distribution of resist molecules, and electron scattering are inherently random. Whether substrates can overcome these challenges better with X-rays than ASML can with EUV remains an open question.

Another fundamental problem is the availability of suitable materials. EUV lithography required the development of entirely new photoresists specifically optimized for the 13.5-nanometer wavelength. Japanese companies such as JSR, Tokyo Ohka Kogyo, Shin-Etsu Chemical, and Fujifilm control over ninety percent of the EUV photoresist market. These materials are based on metal-containing compounds with elements such as tin, hafnium, or zirconium, which exhibit higher absorption at EUV wavelengths. Substrate would not only have to develop its own X-ray-compatible photoresists but also establish mass production of these materials. Likewise, the high-precision masks for X-rays and the specialized optics would need to be available in large quantities—a supply chain that currently does not exist.

The economic implications: Who wins, who loses

The potential impact of a successful X-ray lithography technology on the semiconductor industry would be profound and would reshape the entire value chain.

ASML is at the center of this potential disruption. The company has invested over forty years in building its technological leadership. EUV development alone has consumed decades and billions of dollars. A functioning competitor would not only undermine ASML's pricing power but could also lead to a rethinking of its entire investment strategy. The company is currently investing heavily in high-NA EUV systems, which cost $380 million per unit and promise even higher resolutions. If Substrate could actually achieve comparable or better results at a tenth of the cost, it would fundamentally challenge ASML's high-NA roadmap. Shareholders, who value ASML at over $300 billion, could make a dramatic reassessment.

For TSMC, the implications would be mixed. On the one hand, cheaper lithography technology could reduce the capital costs for new fabs. TSMC currently spends between $38 billion and $42 billion annually on capital expenditures, a significant portion of which is allocated to EUV systems. A low-NA EUV machine costs approximately $235 million, and TSMC needs more of them each year. Cheaper alternatives could improve margins. On the other hand, Substrate does not plan to sell its systems but intends to operate its own fabs. This would make Substrate a direct competitor of TSMC. History shows that vertically integrated models rarely succeed in the semiconductor industry. Specialization between fabless design firms and foundries has proven superior. Substrate would not only have to overcome the challenge of technology development but also the entirely different challenge of foundry operations, customer relations, and contract manufacturing. TSMC's forty years of experience in process optimization, quality control, and customer service is a massive competitive advantage that cannot simply be replicated.

For fabless chip designers like Nvidia, AMD, Qualcomm, and Broadcom, a successful substrate technology could open up new options. These companies are currently almost entirely dependent on TSMC and, to a lesser extent, on Samsung. Nvidia alone generated $124.4 billion in revenue in 2024, primarily from AI processors. Any diversification of manufacturing capabilities would reduce supply chain risk and potentially strengthen its negotiating position with foundries. However, these companies would not switch to an unproven supplier until that supplier has demonstrated consistent quality and yields over several years. Switching a chip design between different foundries is complex and expensive, as each manufacturer uses different process design kits.

Intel and Samsung, both of which design and manufacture chips and increasingly offer foundry services, find themselves in difficult positions. Intel is struggling with its foundry division, which suffered a loss of seven billion dollars on 18.9 billion dollars in revenue in 2023. Intel's 18A process technology is supposed to deliver competitive advantages, but delays and technical problems are notorious. Samsung faces similar challenges with yield issues at advanced nodes. A new, lower-cost lithography technology could theoretically help both, but both companies have made massive investments in EUV-based processes and would not switch lightly.

Suppliers in the semiconductor value chain would also be affected. Zeiss, the German manufacturer of ultra-precise mirrors for ASML systems, Trumpf, which supplies the high-power lasers, and Applied Materials, KLA, and Lam Research, which provide other manufacturing equipment, have all invested heavily in EUV ecosystem support. A new technology would require new supply chains. Japanese photoresist manufacturers would either have to develop X-ray-compatible materials or lose market share.

The geopolitical dimension: Technological sovereignty and economic security

The semiconductor industry is deeply embedded in geopolitical tensions, and the substrate announcement comes at a strategically important time.

In recent years, the United States has imposed increasingly restrictive export controls on China to limit its access to advanced semiconductor technology. ASML is prohibited from selling its most advanced EUV systems to China. This policy aims to restrict China's capacity to develop AI and military applications. At the same time, the US government is investing heavily in relocating semiconductor manufacturing back to the US through the CHIPS Act, which provides $39 billion in direct grants and a 25 percent investment tax credit.

Substrate fits perfectly into this strategic agenda. A lithography system developed and manufactured in the US would reduce dependence on Dutch and Taiwanese technology. Peter Thiel's involvement is no coincidence. Thiel has repeatedly emphasized the need for American technological autonomy. In-Q-Tel, the CIA's venture capital arm, is also an investor in Substrate, underscoring the national security dimension.

However, the history of X-ray lithography shows that national champions are not necessarily successful. The US attempted to regain semiconductor leadership in the 1980s and 1990s through SEMATECH collaborations, but ultimately failed. ASML achieved its breakthrough not through national industrial policy, but through patient technological development, skillful supply chain integration, and astute partnerships with customers. The question is whether government support can be successful without these factors.

China, in turn, is responding to Western export restrictions with massive investments in domestic semiconductor technology. The Made in China 2025 initiative prioritizes self-sufficiency. Should Substrate prove successful, China would seek to gain access to this technology or develop its own alternatives. SMIC, China's largest foundry, has made progress with seven-nanometer processes without EUV, despite restrictions, albeit with lower yields and higher costs.

Europe finds itself in a complex position. ASML is a European company, yet the Dutch government is under pressure from the US to restrict exports. The European Chips Act promises €43 billion in subsidies to double European semiconductor manufacturing's global market share from 10 to 20 percent. A US-dominated lithography alternative could further undermine Europe's strategic autonomy.

 

Our global industry and economic expertise in business development, sales and marketing

Our global industry and economic expertise in business development, sales and marketing

Our global industry and business expertise in business development, sales and marketing - Image: Xpert.Digital

Industry focus: B2B, digitalization (from AI to XR), mechanical engineering, logistics, renewable energies and industry

More about it here:

  • Xpert Business Hub

A topic hub with insights and expertise:

  • Knowledge platform on the global and regional economy, innovation and industry-specific trends
  • Collection of analyses, impulses and background information from our focus areas
  • A place for expertise and information on current developments in business and technology
  • Topic hub for companies that want to learn about markets, digitalization and industry innovations

 

Technology, capital, politics: What really puts Substrate to the test

The technological hurdles: What lies between the laboratory and mass production

The path from impressive laboratory results to commercial mass production is notoriously difficult and fraught with unforeseen problems in the semiconductor industry.

Substrate's biggest challenge is scaling. The laboratory demonstration shown proves that, in principle, structures in the relevant size range can be produced. However, commercial lithography requires much more. An ASML machine exposes approximately 130 to 170 wafers per hour. The overlay accuracy, i.e., the precise alignment of multiple layers, must be less than one nanometer. Uniformity across the entire wafer must be extremely high. Defect densities must be in the range of less than one defect per square centimeter. Meeting all these requirements simultaneously, while the system runs stably for months, is a monumental engineering achievement.

The particle accelerator source used by Substrate must operate with extraordinary stability. Any fluctuation in beam intensity or position would compromise the quality. ASML spent years stabilizing its laser-plasma tin source for EUV. This source fires 50,000 tiny tin droplets per second into a vacuum vessel, where they are struck twice by a 30-kilowatt CO2 laser to generate the plasma that emits EUV light. The complexity of this solution resulted from years of iteration. Substrate claims to have a more compact and cost-effective solution, but without years of field testing, this remains speculative.

The optics for X-rays are fundamentally different from those for EUV or DUV. X-rays cannot be focused by lenses because they are absorbed by most materials. Instead, special grazing-incidence mirrors are necessary. These mirrors must be manufactured with breathtaking precision. Zeiss produces mirrors for ASML where, scaled up to the size of Germany, the largest deviations from the ideal shape would amount to only one-tenth of a millimeter. Whether such precision exists or can be developed for X-ray optics is unclear.

Photoresist materials for X-ray lithography are not available in commercial quantities. Developing new resist systems typically takes years and requires close collaboration between chemists, materials scientists, and process engineers. The resists must offer high resolution while also possessing sufficient etch resistance to serve as a mask for subsequent processing steps. They must exhibit low edge roughness and must not cause any unwanted side reactions. The Japanese market leaders in this field would not automatically work for a new competitor.

Suitable for:

  • The AI ​​chip war escalates: Nvidia's nightmare? China strikes back with its own AI chips – and Alibaba is just the beginningThe AI ​​chip war escalates: Nvidia's nightmare? China strikes back with its own AI chips – and Alibaba is just the beginning

The business model: Vertical integration as Segen or a curse

Substrate is pursuing a radical strategy that deviates from the established industry. Instead of selling lithography systems to existing foundries, the company plans to build and operate its own semiconductor fabrication plants.

This vertical integration contradicts the dominant business model of the past four decades. Since Morris Chang founded TSMC in 1987 and established the pure-play foundry model, the industry has become increasingly specialized. Fabless design firms focus on chip architecture and design, foundries on manufacturing, and equipment suppliers like ASML on specific technologies. This specialization allows each player to become world-class in their respective field.

Substrate argues that vertical integration reduces coordination costs and enables faster innovation. Tesla and SpaceX are often cited as examples of successful vertical integration. But the semiconductor industry is different. Capital intensity is extreme. A modern fab costs fifteen to twenty billion dollars. TSMC spends over forty billion dollars annually on capital expenditures. Substrate has raised one hundred million dollars so far and is valued at over one billion dollars. To become competitive, the company would need to invest one hundred times that amount.

Furthermore, operating a foundry requires entirely different skills than developing lithography technology. TSMC employs over 70,000 people, many of them highly specialized process engineers. The company has over 40 years of experience in yield optimization, defect analysis, and customer relationship management. Every new process node requires thousands of experiments and iterations. The learning curve is steep and expensive.

The question is also who Substrate's customers would be. Large fabless companies like Nvidia, AMD, and Qualcomm have long-term, closely integrated relationships with TSMC. These partnerships are based on years of collaboration, jointly developed process design kits, and mutual trust. A new foundry would have to offer exceptional advantages to break these relationships. Lower costs alone are not enough when the risks regarding yield, reliability, and delivery times are uncertain.

Intel has been trying to expand its foundry business for years and is struggling considerably. Intel Foundry Services generated only eight million dollars in external revenue in the third quarter of 2024. The losses are massive. This shows how difficult it is, even for an established semiconductor giant, to penetrate the foundry market. Substrate would be starting from scratch.

The time horizon: 2028 and beyond

Substrate plans to begin mass production in 2028. This is an exceptionally ambitious timeline. Going from the current laboratory demonstration to commercial production in approximately three years would require everything to go perfectly.

For comparison: ASML began with initial alpha prototypes for EUV in 2006, delivered its first pre-production system in 2010, and only reached high-volume manufacturing in 2019. That's thirteen years from the first demonstration to mass production, and that with an already established company that had extensive experience in lithography.

Substrate would need to not only bring its lithography technology to production readiness within three years, but also build a fab, establish supply chains for all necessary materials and equipment, develop and optimize processes, acquire customers, and obtain the necessary permits. Even if the technology works, this timeline is unrealistic.

A more realistic timeframe would be eight to twelve years until significant commercial production. This would mean that the impact on the industry would not be felt until the mid-2030s at the earliest. By then, ASML will have established its high-NA EUV systems, TSMC will possibly be working on one-nanometer processes or below, and the entire industry could have moved in a direction that renders Substrate's approach obsolete.

Alternative future scenarios: What could really happen?

The announcement of Substrate raises important questions, but the likely scenarios range from total failure to partial successes that subtly influence the industry but do not revolutionize it.

The pessimistic scenario is that Substrate will not overcome the technical hurdles. The physics of X-ray lithography could prove too problematic, stochastic effects could be uncontrollable, or the capital costs could become too high. The company would then either fail or slip into a niche role for specialized applications. Historically, most challengers to established technologies have failed. Nikon and Canon both tried to compete with ASML in the EUV race and gave up.

A middle ground scenario would be that Substrate makes the technology partially functional, but not at the promised cost or with the necessary reliability. The company could then license its technology to an established player. ASML itself might be interested in evaluating X-ray lithography as a potential next-generation technology after High-NA EUV. Alternatively, a major semiconductor manufacturer like Intel or Samsung could acquire the technology to differentiate its own manufacturing capabilities.

An optimistic scenario would be that Substrate does indeed develop a working, more cost-effective lithography solution, but abandons the foundry business and instead sells systems to established manufacturers. This would lower the barriers to entry and could lead to healthier competition in lithography equipment. ASML would feel pressure to lower prices and innovate faster. The entire industry could benefit.

The transformative scenario in which Substrate masters the technology, successfully operates its own fabs, and becomes a significant foundry competitor appears the least likely. Combining technological innovation with business model innovation in one of the world's most capital-intensive and complex industries is an extraordinary challenge.

The broader implications: Moore's Law, miniaturization limits, and alternative pathways

The Substrate story also raises fundamental questions about the future of the semiconductor industry. Moore's Law, the observation that the number of transistors on a chip roughly doubles every two years, has been the industry's pace-setter since the 1960s. But increasingly, voices are predicting the end of this trend.

Physical limitations are becoming increasingly apparent. Transistors are approaching atomic dimensions. In structures below three nanometers, quantum effects such as tunneling occur, where electrons jump uncontrollably through barriers. Heat generation becomes problematic. Electron leakage currents increase. Some experts argue that Moore's Law already ended in 2016, when Intel took five years to go from ten to seven nanometers, instead of the traditional two years.

The economic constraints are equally significant. Rock's Law states that the cost of building a semiconductor factory roughly doubles every four years. A fab for two-nanometer technology costs twenty billion dollars or more. The number of companies that can afford such investments is shrinking. Only TSMC, Samsung, and Intel remain in the race for the leading nodes. All others have dropped out and are focusing on more mature, profitable technologies.

In this context, Substrate's promise to drastically reduce costs is particularly enticing. If successful, more players could enter the leading semiconductor manufacturing sector, which would stimulate competition. However, even with cheaper lithography, the overall cost of a fab remains enormous, as lithography accounts for only about twenty percent of total equipment costs.

Alternative approaches to continuing Moore's Law are being intensively researched. New transistor architectures, such as gate-all-around FETs, which Samsung and TSMC are introducing in three-nanometer processes, improve control over electron flow. Three-dimensional stacking of chips through advanced packaging technologies like TSMC's CoWoS makes it possible to integrate more functionality into smaller volumes. New materials such as gallium nitride or carbon nanotubes could complement or replace silicon. Neuromorphic computing architectures and quantum computers promise fundamentally different computational paradigms.

Directed self-assembly of block copolymers and nanoimprint lithography are further alternative lithography approaches being explored. These technologies could offer advantages for certain applications, but so far they have not made the leap to mass production. The semiconductor industry is conservative when it comes to process changes because the risks are too high.

A fascinating challenge with an uncertain outcome

Substrate's announcement is undeniably exciting and raises important questions about the future of semiconductor manufacturing. The potential impact on established monopolies, geopolitical power structures, and the economic balances in this critical industry is considerable.

However, sober realism is warranted. The history of the semiconductor industry is full of promising technologies that failed and announcements that turned out to be exaggerated. X-ray lithography was already touted as the future in the 1980s and 1990s and failed. The technical, economic, and organizational challenges that Substrate must overcome are monumental.

ASML and TSMC didn't achieve their dominant positions by chance, but through decades of patient work, massive investments, astute partnerships, and technical excellence. These companies won't passively watch a newcomer invade their markets. They will accelerate their own innovation, adjust prices, and try to retain potential customers.

For Substrate's investors, including Peter Thiel and In-Q-Tel, this is a high-risk venture with potentially enormous profits, but also the very real possibility of total loss. For the semiconductor industry as a whole, this development sends a positive signal that innovation is not yet over and that new approaches are being explored. Even if Substrate fails, the lessons learned could inform future endeavors.

The coming years will show whether Substrate can truly revolutionize the semiconductor industry or whether it turns out to be just another episode in the long struggle to push the boundaries of miniaturization. The economic, technological, and geopolitical dimensions of this story make it a fascinating case study of innovation, disruption, and the limits of what is possible in one of the most complex industries of the modern economy.

Chip War 2.0: Why the USA, China and Europe face very different risks

The threat is by no means limited to Europe, but affects the entire global semiconductor industry. However, the nature of the threat is fundamentally different for the US and China than for Europe.

1. The threat to Europe (especially ASML)

For Europe, the threat is direct and existential.

ASML in the crosshairs: Substrate is targeting the very heart of the European technology jewel ASML. Should X-ray lithography prove successful, it would break ASML's decades-long monopoly on state-of-the-art lithography systems.

Economic damage: A successful competitor would undermine ASML's immense pricing power and high margins. Investments in the next generation (High-NA EUV), which cost hundreds of millions per machine, could prove to be a bad investment.

Weakening of the ecosystem: The threat extends to the entire European supply chain built around ASML, particularly to German high-tech companies such as Zeiss (optics) and Trumpf (lasers).

Geopolitical loss: Europe is losing its most important geopolitical lever. Control over ASML gives the EU (and the Netherlands) a unique position of power in global technology conflicts, a position already limited by US pressure. A US alternative would almost completely eliminate this position.

2. The threat to competition in the USA

For the US, it is a double-edged sword: a strategic opportunity for the nation, but a disruptive threat to established US players.

Threat to Intel and Samsung: Companies like Intel and Samsung, which are investing heavily in the US (supported by the CHIPS Act), have based their entire future strategies on ASML's EUV technology. They have invested billions in EUV-based factories. A new, incompatible technology from Substrate would devalue these investments and force them to completely rethink their roadmaps.

A new competitor is emerging domestically: Substrate plans not only to sell machines but also to operate its own foundry. This would make them a direct competitor to Intel's foundry ambitions and Samsung's US factories. A new, potentially lower-cost player would significantly increase competitive pressure in the domestic market.

Advantage for fabless companies: For chip designers like Nvidia, AMD, or Qualcomm, however, this development is primarily an opportunity. They are currently dependent on TSMC. A new, US-based foundry provider would strengthen their negotiating position and reduce supply chain risks. Their "threat" would only be indirect if Substrate fails and ties up valuable investment capital that could have been used elsewhere.

In summary for the USA: It is not a threat to national security or the economy (quite the opposite), but a disruptive threat to the existing balance and business models of established US semiconductor manufacturers.

3. The threat to China

For China, the threat is purely geopolitical and strategic – and potentially even greater than that posed by ASML.

Intensifying the technological blockade: The US is already preventing ASML from supplying its most advanced EUV systems to China. If leading lithography technology is now being developed directly by a US company with CIA involvement, export controls will become even stricter and more impenetrable. The US technological stranglehold would be tightened.

The gap is widening: China is struggling to catch up with more advanced nodes (such as SMIC's 7nm process) using older DUV technology. A new, far cheaper, and more powerful technology from the West would set China's efforts back by years and dramatically widen the technological gap.

Increased pressure for self-sufficiency: This development is the ultimate proof for China that it can never rely on Western technology. It would massively increase the pressure on the Chinese government to invest even more resources in developing its own, domestic lithography technology – an extremely expensive and lengthy undertaking.

 

Your global marketing and business development partner

☑️ Our business language is English or German

☑️ NEW: Correspondence in your national language!

 

Digital Pioneer - Konrad Wolfenstein

Konrad Wolfenstein

I would be happy to serve you and my team as a personal advisor.

You can contact me by filling out the contact form or simply call me on +49 89 89 674 804 (Munich) . My email address is: wolfenstein ∂ xpert.digital

I'm looking forward to our joint project.

 

 

☑️ SME support in strategy, consulting, planning and implementation

☑️ Creation or realignment of the digital strategy and digitalization

☑️ Expansion and optimization of international sales processes

☑️ Global & Digital B2B trading platforms

☑️ Pioneer Business Development / Marketing / PR / Trade Fairs

 

🎯🎯🎯 Benefit from Xpert.Digital's extensive, five-fold expertise in a comprehensive service package | BD, R&D, XR, PR & Digital Visibility Optimization

Benefit from Xpert.Digital's extensive, fivefold expertise in a comprehensive service package | R&D, XR, PR & Digital Visibility Optimization

Benefit from Xpert.Digital's extensive, fivefold expertise in a comprehensive service package | R&D, XR, PR & Digital Visibility Optimization - Image: Xpert.Digital

Xpert.Digital has in-depth knowledge of various industries. This allows us to develop tailor-made strategies that are tailored precisely to the requirements and challenges of your specific market segment. By continually analyzing market trends and following industry developments, we can act with foresight and offer innovative solutions. Through the combination of experience and knowledge, we generate added value and give our customers a decisive competitive advantage.

More about it here:

  • Use the 5x expertise of Xpert.Digital in one package - starting at just €500/month

other topics

  • Europe's secret superpower ASML in the chip war: How a single company holds the future of EU chip AI in its hands
    Europe's secret superpower ASML in the chip war: How a single company holds the future of EU chip AI in its hands...
  • Europe's AI secret weapon is forming: Mistral AI with ASML – how this billion-dollar deal can make us more independent from the US and China
    Europe's AI secret weapon is forming: Mistral AI with ASML – how this billion-dollar deal can make us more independent from the US and China...
  • The race in orbit: Project Kuiper from Amazon challenges star links dominance in the satellite internet market
    The race in orbit: Amazon's Project Kuiper challenges Starlink's dominance in the satellite internet market...
  • The AI ​​chip war escalates: Nvidia's nightmare? China strikes back with its own AI chips – and Alibaba is just the beginning
    The AI ​​chip war escalates: Nvidia's nightmare? China strikes back with its own AI chips – and Alibaba is just the beginning...
  • Amazon challenges the Ad Duopoly
    Amazon Challenges the Ad Duopoly - Amazon Challenges Ad Duopoly...
  • Economic relations between China and Taiwan: A paradox of interdependence in the shadow of political conflict
    China-Taiwan Economic Relations: A Paradox of Interdependence in the Shadow of Political Conflict...
  • A startup as intrapreneurship: Innovation from the inside out – New ways in market development
    A startup as intrapreneurship: Innovation from the inside out - New ways in market development - The Google 20% time model...
  • Tech turn: China & South Korea dominate robots & chips-alarm for German and European industry?
    Tech turn: China & South Korea dominate robots & chips-alarm for German and European industry? ...
  • What does the AI ​​chip deal between AMD and OpenAI mean for the industry? Is Nvidia's dominance in jeopardy?
    What does the AI ​​chip deal between AMD and OpenAI mean for the industry? Is Nvidia's dominance in danger?...
Partner in Germany and Europe - Business Development - Marketing & PR

Your partner in Germany and Europe

  • 🔵 Business Development
  • 🔵 Trade Fairs, Marketing & PR

Blog/Portal/Hub: Smart & Intelligent B2B - Industry 4.0 -️ Mechanical engineering, construction industry, logistics, intralogistics - Manufacturing industry - Smart Factory -️ Smart Industry - Smart Grid - Smart PlantContact - Questions - Help - Konrad Wolfenstein / Xpert.DigitalIndustrial Metaverse online configuratorOnline solar port planner - solar carport configuratorOnline solar system roof & area plannerUrbanization, logistics, photovoltaics and 3D visualizations Infotainment / PR / Marketing / Media 
  • Material Handling - Warehouse Optimization - Consulting - With Konrad Wolfenstein / Xpert.DigitalSolar/Photovoltaics - Consulting Planning - Installation - With Konrad Wolfenstein / Xpert.Digital
  • Connect with me:

    LinkedIn Contact - Konrad Wolfenstein / Xpert.Digital
  • CATEGORIES

    • Logistics/intralogistics
    • Artificial Intelligence (AI) – AI blog, hotspot and content hub
    • New PV solutions
    • Sales/Marketing Blog
    • Renewable energy
    • Robotics/Robotics
    • New: Economy
    • Heating systems of the future - Carbon Heat System (carbon fiber heaters) - Infrared heaters - Heat pumps
    • Smart & Intelligent B2B / Industry 4.0 (including mechanical engineering, construction industry, logistics, intralogistics) – manufacturing industry
    • Smart City & Intelligent Cities, Hubs & Columbarium – Urbanization Solutions – City Logistics Consulting and Planning
    • Sensors and measurement technology – industrial sensors – smart & intelligent – ​​autonomous & automation systems
    • Augmented & Extended Reality – Metaverse planning office / agency
    • Digital hub for entrepreneurship and start-ups – information, tips, support & advice
    • Agri-photovoltaics (agricultural PV) consulting, planning and implementation (construction, installation & assembly)
    • Covered solar parking spaces: solar carport – solar carports – solar carports
    • Power storage, battery storage and energy storage
    • Blockchain technology
    • NSEO Blog for GEO (Generative Engine Optimization) and AIS Artificial Intelligence Search
    • Digital intelligence
    • Digital transformation
    • E-commerce
    • Internet of Things
    • USA
    • China
    • Hub for security and defense
    • Social media
    • Wind power / wind energy
    • Cold Chain Logistics (fresh logistics/refrigerated logistics)
    • Expert advice & insider knowledge
    • Press – Xpert press work | Advice and offer
  • Further article: Heavy-duty giant for the Swiss Army
  • Xpert.Digital overview
  • Xpert.Digital SEO
Contact/Info
  • Contact – Pioneer Business Development Expert & Expertise
  • contact form
  • imprint
  • Data protection
  • Conditions
  • e.Xpert Infotainment
  • Infomail
  • Solar system configurator (all variants)
  • Industrial (B2B/Business) Metaverse configurator
Menu/Categories
  • Managed AI Platform
  • AI-powered gamification platform for interactive content
  • LTW Solutions
  • Logistics/intralogistics
  • Artificial Intelligence (AI) – AI blog, hotspot and content hub
  • New PV solutions
  • Sales/Marketing Blog
  • Renewable energy
  • Robotics/Robotics
  • New: Economy
  • Heating systems of the future - Carbon Heat System (carbon fiber heaters) - Infrared heaters - Heat pumps
  • Smart & Intelligent B2B / Industry 4.0 (including mechanical engineering, construction industry, logistics, intralogistics) – manufacturing industry
  • Smart City & Intelligent Cities, Hubs & Columbarium – Urbanization Solutions – City Logistics Consulting and Planning
  • Sensors and measurement technology – industrial sensors – smart & intelligent – ​​autonomous & automation systems
  • Augmented & Extended Reality – Metaverse planning office / agency
  • Digital hub for entrepreneurship and start-ups – information, tips, support & advice
  • Agri-photovoltaics (agricultural PV) consulting, planning and implementation (construction, installation & assembly)
  • Covered solar parking spaces: solar carport – solar carports – solar carports
  • Energy-efficient renovation and new construction – energy efficiency
  • Power storage, battery storage and energy storage
  • Blockchain technology
  • NSEO Blog for GEO (Generative Engine Optimization) and AIS Artificial Intelligence Search
  • Digital intelligence
  • Digital transformation
  • E-commerce
  • Finance / Blog / Topics
  • Internet of Things
  • USA
  • China
  • Hub for security and defense
  • Trends
  • In practice
  • vision
  • Cyber ​​Crime/Data Protection
  • Social media
  • eSports
  • glossary
  • Healthy eating
  • Wind power / wind energy
  • Innovation & strategy planning, consulting, implementation for artificial intelligence / photovoltaics / logistics / digitalization / finance
  • Cold Chain Logistics (fresh logistics/refrigerated logistics)
  • Solar in Ulm, around Neu-Ulm and around Biberach Photovoltaic solar systems – advice – planning – installation
  • Franconia / Franconian Switzerland – solar/photovoltaic solar systems – advice – planning – installation
  • Berlin and the surrounding area of ​​Berlin – solar/photovoltaic solar systems – consulting – planning – installation
  • Augsburg and the surrounding area of ​​Augsburg – solar/photovoltaic solar systems – advice – planning – installation
  • Expert advice & insider knowledge
  • Press – Xpert press work | Advice and offer
  • Tables for desktop
  • B2B procurement: supply chains, trade, marketplaces & AI-supported sourcing
  • XPaper
  • XSec
  • Protected area
  • Pre-release
  • English version for LinkedIn

© November 2025 Xpert.Digital / Xpert.Plus - Konrad Wolfenstein - Business Development